11-06-2020, 11:11 AM
PHP 代码:
module top;
task mytask1(input [31:0] x);
$display("hello %h", x);
endtask
initial begin
reg [31:0] u = $random();
reg [31:0] v = $random();
mytask1(u);
mytask1(v);
end
endmodule
命令 iverilog -g2012 tb.sv 生成 a.out,然后运行它就能输出 hello 了。